Difference between revisions of "CBX"

From Ettus Knowledge Base
Jump to: navigation, search
(Interfaces and Connectivity)
Line 59: Line 59:
 
Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum
 
Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum
  
 +
==Certifications==
 +
===RoHS===
 +
As of December 1st, 2010 all Ettus Research products are RoHS compliant unless otherwise noted. More information can be found at [http://ettus.com/legal/rohs-information http://ettus.com/legal/rohs-information]
  
 
==Performance Data==
 
==Performance Data==

Revision as of 07:09, 26 April 2016

Device Overview

The CBX is a full-duplex, wideband transceiver that covers a frequency band from 1.2 GHz to 6 GHz with a instantaneous bandwidth of 40 MHz or 120 MHz. The CBX can serve a wide variety of application areas, including WiFi research, cellular base stations, cognitive radio research, and RADAR.

Key Features

  • Frequency Range: 1.2GHz - 6GHz
  • Versions: 40MHz / 120MHz

RF Specifications

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum?

Physical Specifications

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum

Environmental Specifications

Operating Temperature Range

  • 0-40 °C

Schematics

CBX

CBX Schematics

Datasheets

VMMK-3603

AS225-313LF

HMC624LP4E

MGA82563

GVA-84+

PHA-1+

ADL5380ACPZ

ADA4927-2YCPZ

AD8591ARTZ-REEL

NC7WZ04P6X

MAX2870ETJ+

SKY13267-321

LFCN-2000+

LP3878MR-ADJ

24LC024

ADL5375-05

Mechanical Info (size, weight, drawings)

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum? Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum

Interfaces and Connectivity

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum Lorem ipsum dolor sit amet, consectetur adipisicing elit. Quod voluptates molestias excepturi nisi ea minus hic iste velit optio doloremque similique ab nulla, beatae obcaecati! Nobis, at dolorum id nostrum

Certifications

RoHS

As of December 1st, 2010 all Ettus Research products are RoHS compliant unless otherwise noted. More information can be found at http://ettus.com/legal/rohs-information

Performance Data

Downloads

FPGA Resources

UHD Stable Binaries

UHD Source Code on Github